Wonder Club world wonders pyramid logo
×

Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation Book

Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation
Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation, This book constitutes the refereed proceedings of the 10th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS 2000, held in Göttingen, Germany in September 2000.
The 33 revised full papers presented were carefully, Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation has a rating of 3 stars
   2 Ratings
X
Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation, This book constitutes the refereed proceedings of the 10th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS 2000, held in Göttingen, Germany in September 2000. The 33 revised full papers presented were carefully, Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation
3 out of 5 stars based on 2 reviews
5
50 %
4
0 %
3
0 %
2
0 %
1
50 %
Digital Copy
PDF format
1 available   for $99.99
Original Magazine
Physical Format

Sold Out

  • Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation
  • Written by author Dimitrios Soudris
  • Published by Springer-Verlag New York, LLC, January 2008
  • This book constitutes the refereed proceedings of the 10th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS 2000, held in Göttingen, Germany in September 2000. The 33 revised full papers presented were carefully
  • This book constitutes the refereed proceedings of the 10th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS 2000, held in Göttingen, Germany in September 2000. The 33 revised full papers presented were care
Buy Digital  USD$99.99

WonderClub View Cart Button

WonderClub Add to Inventory Button
WonderClub Add to Wishlist Button
WonderClub Add to Collection Button

Book Categories

Authors

Constraints, Hurdles, and Opportunities for a Successful European Take-Up Action1
Architectural Design Space Exploration Achieved through Innovative RTL Power Estimation Techniques3
Power Models for Semi-autonomous RTL Macros14
Power Macro-Modelling for Firm-Macro24
RTL Estimation of Steering Logic Power36
Reducing Power Consumption through Dynamic Frequency Scaling for a Class of Digital Receivers47
Framework for High-Level Power Estimation of Signal Processing Architectures56
Adaptive Bus Encoding Technique for Switching Activity Reduced Data Transfer over Wide System Buses66
Accurate Power Estimation of Logic Structures Based on Timed Boolean Functions76
A Holistic Approach to System Level Energy Optimization88
Early Power Estimation for System-on-Chip Designs108
Design-Space Exploration of Low Power Coarse Grained Reconfigurable Datapath Array Architectures118
Internal Power Dissipation Modelling and Minimization for Submicronic CMOS Design129
Impact of Voltage Scaling on Glitch Power Consumption139
Degradation Delay Model Extension to CMOS Gates149
Second Generation Delay Model for Submicron CMOS Process159
Semi-modular Latch Chains for Asynchronous Circuit Design168
Asynchronous First-in First-out Queues178
Comparative Study on Self-Checking Carry-Propagate Adders in Terms of Area, Power and Performance187
VLSI Implementation of a Low-Power High-Speed Self-Timed Adder195
Low Power Design Techniques for Contactless Chipcards205
Dynamic Memory Design for Low Data-Retention Power207
Double-Latch Clocking Scheme for Low-Power I.P. Cores217
Architecture, Design, and Verification of an 18 Million Transistor Digital Television and Media Processor Chip225
Cost-Efficient C-Level Design of an MPEG-4 Video Decoder233
Data-Reuse and Parallel Embedded Architectures for Low-Power, Real-Time Multimedia Applications243
Design of Reversible Logic Circuits by Means of Control Gates255
Modeling of Power Consumption of Adiabatic Gates versus Fan in and Comparison with Conventional Gates265
An Adiabatic Multiplier276
Logarithmic Number System for Low-Power Arithmetic285
An Application of Self-Timed Circuits to the Reduction of Switching Noise in Analog-Digital Circuits295
PARCOURS - Substrate Crosstalk Analysis for Complex Mixed-Signal-Circuits306
Influence of Clocking Strategies on the Design of Low Switching-Noise Digital and Mixed-Signal VLSI Circuits316
Computer Aided Generation of Analytic Models for Nonlinear Function Blocks327
Author Index337


Login

  |  

Complaints

  |  

Blog

  |  

Games

  |  

Digital Media

  |  

Souls

  |  

Obituary

  |  

Contact Us

  |  

FAQ

CAN'T FIND WHAT YOU'RE LOOKING FOR? CLICK HERE!!!

X
WonderClub Home

This item is in your Wish List

Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation, This book constitutes the refereed proceedings of the 10th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS 2000, held in Göttingen, Germany in September 2000.
The 33 revised full papers presented were carefully, Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation

X
WonderClub Home

This item is in your Collection

Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation, This book constitutes the refereed proceedings of the 10th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS 2000, held in Göttingen, Germany in September 2000.
The 33 revised full papers presented were carefully, Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation

Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation

X
WonderClub Home

This Item is in Your Inventory

Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation, This book constitutes the refereed proceedings of the 10th International Workshop on Power and Timing Modeling, Optimization and Simulation, PATMOS 2000, held in Göttingen, Germany in September 2000.
The 33 revised full papers presented were carefully, Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation

Integrated Circuit Design: Power and Timing Modeling, Optimization and Simulation

WonderClub Home

You must be logged in to review the products

E-mail address:

Password: