Wonder Club world wonders pyramid logo
×

Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation Book

Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, This book constitutes the refereed proceedings of the 15th International Workshop on Power and Timing Optimization and Simulation, PATMOS 2005, held in Leuven, Belgium in September 2005.
The 74 revised full papers presented were carefully reviewed and , Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation has a rating of 3 stars
   2 Ratings
X
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, This book constitutes the refereed proceedings of the 15th International Workshop on Power and Timing Optimization and Simulation, PATMOS 2005, held in Leuven, Belgium in September 2005. The 74 revised full papers presented were carefully reviewed and , Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation
3 out of 5 stars based on 2 reviews
5
0 %
4
0 %
3
100 %
2
0 %
1
0 %
Digital Copy
PDF format
1 available   for $137.61
Original Magazine
Physical Format

Sold Out

  • Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation
  • Written by author Vassilis Paliouras
  • Published by Springer-Verlag New York, LLC, January 2008
  • This book constitutes the refereed proceedings of the 15th International Workshop on Power and Timing Optimization and Simulation, PATMOS 2005, held in Leuven, Belgium in September 2005. The 74 revised full papers presented were carefully reviewed and
  • This book constitutes the refereed proceedings of the 15th International Workshop on Power and Timing Optimization and Simulation, PATMOS 2005, held in Leuven, Belgium in September 2005.The 74 revised full papers presented were carefully reviewed and s
Buy Digital  USD$137.61

WonderClub View Cart Button

WonderClub Add to Inventory Button
WonderClub Add to Wishlist Button
WonderClub Add to Collection Button

Book Categories

Authors

A power-efficient and scalable load-store queue design1
Power consumption reduction using dynamic control of micro processor performance10
Low power techniques applied to a 80C51 microcontroller for high temperature applications19
Dynamic instruction cascading on GALS microprocessors30
Power reduction of superscalar processor functional units by resizing adder-width40
A retargetable environment for power-aware code evaluation : an approach based on coloured petri net49
Designing low-power embedded software for mass-produced microprocessor by using a loop table in on-chip memory59
Energy characterization of garbage collectors for dynamic applications on embedded systems69
Optimizing the configuration of dynamic voltage scaling points in real-time applications79
Systematic preprocessing of data dependent constructs for embedded systems89
Temperature aware datapath scheduling99
Memory hierarchy energy cost of a direct filtering implementation of the wavelet transform107
Improving the memory bandwidth utilization using loop transformations117
Power-aware scheduling for hard real-time embedded systems using voltage-scaling enabled architectures127
Design of digital filters for low power applications using integer quadratic programming137
A high level constant coefficient multiplier power model for power estimation on high levels of abstraction146
An energy-tree based routing algorithm in wireless ad-hoc network environments156
Energy-aware system-on-chip for 5 GHz wireless LANs166
Low-power VLSI architectures for OFDM transmitters based on PAPR reduction177
An activity monitor for power/performance tuning of CMOS digital circuits187
Power management for low-power battery operated portable systems using current-mode techniques197
Power consumption in reversible logic addressed by a ramp voltage207
Leakage and dynamic glitch power minimization using integer linear programming for V[subscript th] assignment and path balancing217
Back annotation in high speed asynchronous design227
Optimization of reliability and power consumption in systems on a chip237
Performance gains from partitioning embedded applications in processor-FPGA SoCs247
A thermal aware floorplanning algorithm supporting voltage islands for low power SOC design257
Power supply selective mapping for accurate timing analysis267
Switching sensitive driver circuit to combat dynamic delay in on-chip buses277
PSK signalling on NoC buses286
Exploiting cross-channel correlation for energy-efficient LCD bus encoding297
Closed-form bounds for interconnect-aware minimum-delay gate sizing308
Efficient simulation of power/ground networks with package and vias318
Output resistance scaling model for deep-submicron CMOS buffers for timing performance optimisation329
Application of internode model to global power consumption estimation in SCMOS gates337
Compact static power model of complex CMOS gates348
Energy consumption in RC tree circuits with exponential inputs : an analytical model355
Statistical critical path analysis considering correlations364
A CAD platform for sensor interfaces in low-power applications374
An integrated environment for embedded hard real-time systems scheduling with timing and energy constraints382
Efficient post-layout power-delay curve generation393
Power - performance optimization for custom digital circuits404
Switching-activity directed clustering algorithm for low net-power implementation of FPGAs415
Logic-level fast current simulation for digital CMOS circuits425
Design of variable input delay gates for low dynamic power circuits436
Two-phase clocking and a new latch design for low-power portable applications446
Power dissipation reduction during synthesis of two-level logic based on probability of input vectors changes456
Energy-efficient value-based selective refresh for embedded DRAMs466
Design and implementation of a memory generator for low-energy application-specific block-enabled SRAMs477
Static noise margin analysis of sub-threshold SRAM cells in deep sub-micron technology488
An adaptive technique for reducing leakage and dynamic power in register files and reorder buffers498
Parameter variation effects on timing characteristics of high performance clocked registers508
Low-power aspects of nonlinear signal processing518
Reducing energy consumption of computer display by camera-based user monitoring528
Controlling peak power consumption during scan testing : power-aware DfT and test set perspectives540
A design methodology for secured ICs using dynamic current mode logic550
Power consumption characterisation of the Texas instruments TMS320VC5510 DSP561
A method to design compact DUAL-RAIL asynchronous primitives571
Enhanced GALS techniques for datapath applications581
Optimizing SHA-1 hash function for high throughput with a partial unrolling study591
Area-aware pipeline gating for embedded processors601
Fast low-power 64-bit modular hybrid adder609
Speed indicators for circuit optimization618
Synthesis of hybrid CBL/CMOS cell using multiobjective evolutionary algorithms629
Power-clock gating in adiabatic logic circuits638
The design of an asynchronous carry-lookahead adder based on data characteristics647
Efficient clock distribution scheme for VLSI RNS-enabled controllers657
Power dissipation impact of the technology mapping synthesis on look-up table architectures666
The optimal wire order for low power CMOS674
Effect of post-oxidation annealing on the electrical properties of anodic oxidized films in pure water684
Temperature dependency in UDSM process693
Circuit design techniques for on-chip power supply noise monitoring system704
A novel approach to the design of a linearized widely tunable very low power and low noise differential transconductor714
A new model for timing jitter caused by device noise in current-mode logic frequency dividers724
Digital hearing aids : challenges and solutions for ultra low power733
Tutorial hearing aid algorithms734
Optimization of digital audio processing algorithms suitable for hearing aids735
Optimization of modules for digital audio processing737
Traveling the wild frontier of ultra low-power design747
DLV (deep low voltage) : circuits and devices748
Wireless sensor networks : a new life paradigm749
Cryptography : circuits and systems approach750


Login

  |  

Complaints

  |  

Blog

  |  

Games

  |  

Digital Media

  |  

Souls

  |  

Obituary

  |  

Contact Us

  |  

FAQ

CAN'T FIND WHAT YOU'RE LOOKING FOR? CLICK HERE!!!

X
WonderClub Home

This item is in your Wish List

Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, This book constitutes the refereed proceedings of the 15th International Workshop on Power and Timing Optimization and Simulation, PATMOS 2005, held in Leuven, Belgium in September 2005.
The 74 revised full papers presented were carefully reviewed and , Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation

X
WonderClub Home

This item is in your Collection

Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, This book constitutes the refereed proceedings of the 15th International Workshop on Power and Timing Optimization and Simulation, PATMOS 2005, held in Leuven, Belgium in September 2005.
The 74 revised full papers presented were carefully reviewed and , Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation

Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation

X
WonderClub Home

This Item is in Your Inventory

Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, This book constitutes the refereed proceedings of the 15th International Workshop on Power and Timing Optimization and Simulation, PATMOS 2005, held in Leuven, Belgium in September 2005.
The 74 revised full papers presented were carefully reviewed and , Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation

Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation

WonderClub Home

You must be logged in to review the products

E-mail address:

Password: